[21.7] Not able to use printf function in OSL

Forums: [21.7] Not able to use printf function in OSL
Sub forum for bug reports
Forum rules
Before posting a bug report, please check the following:
1. That the issue has not already been disclosed
2. That the issue is specific to this plugin, and not Octane in general (Try reproducing it in Standalone)
Bugs related to the Octane Engine itself should be posted into the Standalone Support sub-forum.


All bug reports should include the information below, along with a detailed description of the issue and steps to reproduce it.
A. Operating System, including version (i.e. Win 7, OSX 10.11.2, Ubuntu 14.04, etc.)
B. Graphics Card(s) model (i.e. GTX 580 - 3GB, TITAN, etc.)
C. RAM Capacity (i.e. 6 GB)
D. Nvidia driver version (i.e. 7.50, 7.5.22)
E. OctaneRender Standalone version, if installed (i.e. 2.24.2, 2.23, etc.)
F. OctaneRender plugin version (i.e. v2.25 - 2.21)
G. Host application version, including build number if available (i.e. 3ds Max 2016 Build 18.0)

[21.7] Not able to use printf function in OSL

Postby grimm » Wed May 13, 2020 7:45 pm

grimm Wed May 13, 2020 7:45 pm
I wasn't too sure if this was a Blender issue or an Octane one? Blender does have issues with OSL and outputting to the console, the OSL compiler will optimize the printf out. I tried to start the Otoy version of Blender with the ENV var set which fixes that.

Code: Select all
set OSL_OPTIONS="optimize=0"


But that did not help. This is the error I'm getting...

<node '[OSL COMPILE NODE]splat.osl'>:53: error: Unsupported feature: printf()


I don't see in the Octane OSL doc where is says that the printf, error, and warning functions are not supported, so I'm thinking that it's a bug? Thanks,

Jason
Linux Mint 20 x64 | Nvidia GTX 980 4GB (displays) RTX 2070 8GB| Intel I7 5820K 3.8 Ghz | 32Gb Memory | Nvidia Driver 460.56
User avatar
grimm
Licensed Customer
Licensed Customer
 
Posts: 1321
Joined: Wed Jan 27, 2010 8:11 pm
Location: Spokane, Washington, USA

Re: [21.7] Not able to use printf function in OSL

Postby blastframe » Thu Dec 03, 2020 8:31 pm

blastframe Thu Dec 03, 2020 8:31 pm
I am having this issue in Cinema 4D.
User avatar
blastframe
Licensed Customer
Licensed Customer
 
Posts: 178
Joined: Wed May 21, 2014 6:01 am
Location: Los Angeles, CA USA

Return to Bug Reports


Who is online

Users browsing this forum: No registered users and 5 guests

Thu Apr 18, 2024 6:49 am [ UTC ]